Slice Logic Utilization:
Number of Slice Registers: 101,697 out of 301,440 33%
Number used as Flip Flops: 98,581
Number used as Latches: 1
Number used as Latch-thrus: 0
Number used as AND/OR logics: 3,115
Number of Slice LUTs: 88,763 out of 150,720 58%
Number used as logic: 67,920 out of 150,720 45%
Number using O6 output only: 32,057
Number using O5 output only: 1,667
Number using O5 and O6: 34,196
Number used as ROM: 0
Number used as Memory: 9,892 out of 58,400 16%
Number used as Dual Port RAM: 0
Number used as Single Port RAM: 0
Number used as Shift Register: 9,892
Number using O6 output only: 7,362
Number using O5 output only: 0
Number using O5 and O6: 2,530
Number used exclusively as route-thrus: 10,951
Number with same-slice register load: 10,889
Number with same-slice carry load: 62
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 27,898 out of 37,680 74%
Number of LUT Flip Flop pairs used: 105,799
Number with an unused Flip Flop: 28,962 out of 105,799 27%
Number with an unused LUT: 17,036 out of 105,799 16%
Number of fully used LUT-FF pairs: 59,801 out of 105,799 56%
Number of slice register sites lost
to control set restrictions: 0 out of 301,440 0%
Specific Feature Utilization:
Number of RAMB36E1/FIFO36E1s: 40 out of 416 9%
Number using RAMB36E1 only: 40
Number using FIFO36E1 only: 0
Number of RAMB18E1/FIFO18E1s: 0 out of 832 0%
Number of BUFG/BUFGCTRLs: 5 out of 32 15%
Number used as BUFGs: 5
Number used as BUFGCTRLs: 0
Number of ILOGICE1/ISERDESE1s: 0 out of 720 0%
Number of OLOGICE1/OSERDESE1s: 0 out of 720 0%
Number of BSCANs: 0 out of 4 0%
Number of BUFHCEs: 0 out of 144 0%
Number of BUFIODQSs: 0 out of 72 0%
Number of BUFRs: 0 out of 36 0%
Number of CAPTUREs: 0 out of 1 0%
Number of DSP48E1s: 372 out of 768 48%
Number of EFUSE_USRs: 0 out of 1 0%
Number of FRAME_ECCs: 0 out of 1 0%
Number of GTXE1s: 4 out of 20 20%
Number of LOCed GTXE1s: 4 out of 4 100%
Number of IBUFDS_GTXE1s: 1 out of 12 8%
Number of ICAPs: 0 out of 2 0%
Number of IDELAYCTRLs: 0 out of 18 0%
Number of IODELAYE1s: 0 out of 720 0%
Number of MMCM_ADVs: 1 out of 12 8%
Number of PCIE_2_0s: 1 out of 2 50%
Number of LOCed PCIE_2_0s: 1 out of 1 100%
Number of STARTUPs: 1 out of 1 100%
Number of SYSMONs: 0 out of 1 0%
Number of TEMAC_SINGLEs: 0 out of 4 0%